summaryrefslogtreecommitdiff
path: root/include/dt-bindings
AgeCommit message (Expand)Author
2018-06-04Merge branches 'clk-stm32mp1', 'clk-samsung', 'clk-uniphier-mpeg', 'clk-strat...Stephen Boyd
2018-06-04Merge branches 'clk-qcom-rpmh', 'clk-npcm7xx', 'clk-of-parent-count' and 'clk...Stephen Boyd
2018-06-04Merge branch 'clk-actions' into clk-nextStephen Boyd
2018-05-15clk:aspeed: Fix reset bits for PCI/VGA and PECIJae Hyun Yoo
2018-05-15clk: aspeed: Support second reset registerJoel Stanley
2018-05-02dt-bindings: clock: Introduce QCOM RPMh clock bindingsTaniya Das
2018-04-16clk: qcom: Add MSM8998 Global Clock Control (GCC) driverJoonwoo Park
2018-04-13Merge tag 'clk-for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/cl...Linus Torvalds
2018-04-06clk: stm32mp1: remove ck_apb_dbg clockGabriel Fernandez
2018-04-06clk: stm32mp1: add missing tzc2 clockGabriel Fernandez
2018-04-06Merge branches 'clk-stratix10', 'clk-imx', 'clk-bcm', 'clk-cs2000' and 'clk-i...Stephen Boyd
2018-04-06Merge branches 'clk-davinci', 'clk-si544', 'clk-rockchip', 'clk-uniphier' and...Stephen Boyd
2018-04-06Merge branches 'clk-mediatek', 'clk-hisi', 'clk-allwinner', 'clk-ux500' and '...Stephen Boyd
2018-04-06Merge branches 'clk-mvebu', 'clk-phase', 'clk-nxp', 'clk-mtk2712' and 'clk-qc...Stephen Boyd
2018-04-06Merge branches 'clk-spreadtrum', 'clk-stm32f', 'clk-stm32mp1', 'clk-hi655x' a...Stephen Boyd
2018-04-06Merge branches 'clk-ti', 'clk-amlogic', 'clk-tegra' and 'clk-samsung' into cl...Stephen Boyd
2018-04-06dt-bindings: imx: update clock doc for imx6sllBai Ping
2018-04-06dt-bindings: documentation: add clock bindings information for Stratix10Dinh Nguyen
2018-04-05Merge tag 'armsoc-drivers' of git://git.kernel.org/pub/scm/linux/kernel/git/a...Linus Torvalds
2018-04-05Merge tag 'armsoc-soc' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/a...Linus Torvalds
2018-04-05Merge tag 'armsoc-dt' of git://git.kernel.org/pub/scm/linux/kernel/git/arm/ar...Linus Torvalds
2018-04-05Merge branch 'for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/dto...Linus Torvalds
2018-04-05Merge tag 'sound-4.17-rc1' of git://git.kernel.org/pub/scm/linux/kernel/git/t...Linus Torvalds
2018-04-03Merge tag 'media/v4.17-1' of git://git.kernel.org/pub/scm/linux/kernel/git/mc...Linus Torvalds
2018-04-03Merge git://git.kernel.org/pub/scm/linux/kernel/git/davem/net-nextLinus Torvalds
2018-03-28Merge tag 'reset-for-4.17-2' of git://git.pengutronix.de/git/pza/linux into n...Arnd Bergmann
2018-03-27Merge tag 'tegra-for-4.17-arm64-dt' of ssh://gitolite.kernel.org/pub/scm/linu...Arnd Bergmann
2018-03-27dt-bindings: reset: add STM32MP1 resetsGabriel Fernandez
2018-03-23dt-binding: clk: npcm750: Add binding for Nuvoton NPCM7XX ClockTali Perry
2018-03-21clk: sunxi-ng: add missing hdmi-slow clock for H6 CCUIcenowy Zheng
2018-03-19dt-bindings: clock: Add Actions S900 clock bindingsManivannan Sadhasivam
2018-03-19clk: qcom: rpmcc: Add support to XO buffered clocksSrinivas Kandagatla
2018-03-19dt-bindings: clock: add clocks for MT2712Weiyi Lu
2018-03-19clk: stm32: Add DSI clock for STM32F469 BoardGabriel Fernandez
2018-03-19clk: stm32: END_PRIMARY_CLK should be declare after CLK_SYSCLKGabriel Fernandez
2018-03-19clk: mediatek: update missing clock data for MT7622 audsysRyder Lee
2018-03-19dt-bindings: clock: mediatek: add binding for fixed-factor clock axisel_d4Sean Wang
2018-03-19dt-bindings: soc: update MT2712 power dt-bindingsweiyi.lu@mediatek.com
2018-03-18clk: sunxi-ng: add support for the Allwinner H6 CCUIcenowy Zheng
2018-03-16dt-bindings: clocks: add APB RTC gate for SC9860Chunyan Zhang
2018-03-15Merge tag 'imx-dt-newclk-4.17' of ssh://gitolite.kernel.org/pub/scm/linux/ker...Arnd Bergmann
2018-03-14Input: gpio-keys - add support for wakeup event actionJeffy Chen
2018-03-13clk: meson: axg: add hifi clock bindingsJerome Brunet
2018-03-12ASoC: rt5651: move definitions of dt-binding constants to include/dt-bindingsHans de Goede
2018-03-11dt-bindings: soc: add header files required for MT7623A SCPSYS dt-bindingSean Wang
2018-03-11clk: stm32mp1: Introduce STM32MP1 clock driverGabriel Fernandez
2018-03-08clk: tegra: Add la clock for Tegra210Peter De Schrijver
2018-03-08arm64: tegra: Add Tegra194 chip device treeMikko Perttunen
2018-03-05dt-bindings: mfd: Add STM32F7 SDMMC2 rcc entryPatrice Chotard
2018-03-02pinctrl: meson: meson8b: fix requesting GPIOs greater than GPIOZ_3Martin Blumenstingl